Cornerstone Peripherals Technology Cornerstone Professional P1500 User Manual

Browse online or download User Manual for TVs & monitors Cornerstone Peripherals Technology Cornerstone Professional P1500. 通訊多媒體核心設計 (Multimedia Communication IP Design) 課程目標

  • Download
  • Add to my manuals
  • Print
  • Page
    / 105
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 0
1
通訊多媒體核心設計
(Multimedia Communication IP
Design)
蔡宗漢
(Tsung-Han Tsai)
Dept. of E.E., N.C.U.
NCUEE -- Multimedia Communication IP Design
Tsung-Han Tsai
2
課程目標
Realize the various SOC and IP Design concepts,
their related SOC design flow. Using these
concepts to design the actual IP core targeting for
some communication and multimedia application.
Realize the multimedia communication system.
Realize the know-how of the system architecture
design.
Driving the students to attend the IP contest.
Page view 0
1 2 3 4 5 6 ... 104 105

Summary of Contents

Page 1 - (Multimedia Communication IP

1通訊多媒體核心設計(Multimedia Communication IP Design)蔡宗漢(Tsung-Han Tsai)Dept. of E.E., N.C.U.NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai2課程目標

Page 2 - Grading & Textbook

10NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai19What does SOC containsDSPNetworkEncryption, FECMixedBus InterfaceConsumerCPU/MCUPeripher

Page 3 - Term Project

100NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai199How to Works?NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai200Platform-Bas

Page 4

101NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai201Ingredients of PlatformNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai202Ho

Page 5 - After 2000

102NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai203Platform-Based DesignNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai204Desi

Page 6 - Moore’s Law: Driving Advances

103NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai205Design Stage - Design PartitionNCUEE -- Multimedia Communication IP DesignTsung-Han T

Page 7 - Silicon Process Roadmaps

104NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai207Design Stage - Integration & VerificationNCUEE -- Multimedia Communication IP Des

Page 8 - System Revolution

105NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai209Type of PlatformNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai210Platform

Page 9 - It’s a convergence world !

11NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai21SOC Market Potential Grow to 4.4 B in 2000 Primary market in cost reduction segment P

Page 10 - SOC Technology Improvement

12NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai23The Design Productivity GapNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai24C

Page 11 - Reach 11.4 B in 2003

13NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai25VLSI Design TrendsNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai26Handset si

Page 12 - The Design Productivity Gap

14NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai27SOC Example: Bluetooth Block SchematicNCUEE -- Multimedia Communication IP DesignTsung-

Page 13 - (includes

15NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai29SOC Example:Motion Engine in PS2NCUEE -- Multimedia Communication IP DesignTsung-Han Ts

Page 14 - Bluetooth SOC Microphotograph

16NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai31Chip PhotographNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai32SOC Applicati

Page 15 - Transceiver IC Block Diagram

17NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai33RMM2 Reuse Methodology Manual For System-on-a-Chip Designs, 2nd Edition by Michael Kea

Page 16 - SOC Application Product

18NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai35SOC Definition “RMM” defines a SOC design should consists of: A microprocessor and its

Page 17 - RMM2 - Table of Contents

19NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai37Surviving the SOC Revolution -Table of Contents1. Moving to System-on-Chip Design. 2. Ov

Page 18

2NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai3內容綱要  Introduction to IP and SoC  IP Core Design Flow  Algorithm and Architecture Ex

Page 19 -  Embedded software

20NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai39SOC Definition - generally heard SOC = CPU + embedded memory + I/O SOC = DSP + memory

Page 20 - Need integration --> O

21NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai41Overview of SOC  Technology Trend in Microelectronics SOC Design StrategySOC Design C

Page 21 - SOC Design Challenge

22NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai43A Quadruple Challenges in SOCNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai4

Page 22 - Complexity

23NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai45Design Challenge - System Heterogeneity Embedded software as a key design problem SOC

Page 23 - Design Challenge - DSM Effect

24NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai47SOC - Revolution or Evolution ? EDA and ASIC vendors said that SOC is a revolution of I

Page 24 - Problems in SOC Era

25NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai49Overview of SOC  Technology Trend in Microelectronics SOC Design Strategy SOC Design

Page 25 - What is IP ?

26NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai51Type of IP Soft IP (“Code”) Synthesizable HDL description at RTL level Flexible: can

Page 26 - Soft IP (“Code”)

27NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai53Type of IP (cont.) Hard IP (“physical”) Provide as a blackbox (GDSII) Usually very ti

Page 27 - Hard IP (“physical”)

28NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai55IP value Foundation IP Cell MegaCell Star IP ARM processors Niche IP ARM (low pow

Page 28 -  From IP vendors

29NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai57Sampling of Cores Classified byFunctionalityNCUEE -- Multimedia Communication IP Design

Page 29 - Issues in IP Integration

3NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai5Term Project Discuss and implement one of the related design discussed in our lecture. T

Page 30 - Key to Success

30NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai59System-level IC DevelopmentNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai60K

Page 31

31NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai61Design for Use To be reusable, be usable first ! Documentation Code quality Through

Page 32 - For ASIC vendors

32NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai63Role Change in SOC Era Conventional framework System/design houses take responsibility

Page 33 - Your SOC Design Foundry

33NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai65To Be Reusable or Not to Be Normally designer teams treat design reuse as a burden beca

Page 34 - Textbook

34NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai67References Shin-Wu Tung, “Reuse Methodology Manual for SoC Designs”. Juinn-Dar Huang,

Page 35 - SOC and IP Core Design

35NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai69Agenda Overview of SOC Reuse Methodology Manual (RMM) for SOCDigital IP AuthoringRTL

Page 36 - Waterfall Model

36NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai71System Design Flow - Conventional High stage performance goal must be met for the low s

Page 37 - Spiral Model

37NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai73System Design Flow - SOC Parallel, concurrent development of hardware and software. Pa

Page 38 - Fundamental Elements of IP

38NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai75Top-Down and Bottom-Up Traditional top-down design flow Recursively partition the desi

Page 39 - Types of Specification (1/2)

39NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai77Specification Requirements Functionality Performance/area/power Test Coverage (fault

Page 40 - Executable specification

4NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai7Agenda Overview of SOC  Reuse Methodology Manual (RMM) for SOC Digital IP Authoring RT

Page 41 -  Sub-module design

40NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai79Types of Specification (2/2) Executable specification Usually written in C/C++, SDL, V

Page 42 - Sub-Block Design Flow

41NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai81System Design ProcessNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai824 Major

Page 43

42NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai83Top Level Macro Design FlowSTEP 1 Specification Need to create a behavior model and te

Page 44 - SoC integration

43NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai85Sub-Block Design FlowSTEP 3NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai86S

Page 45 -  fit in multiple technology

44NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai87Sub-Block Integration FlowSTEP 5 Tasks Generate top-level HDL and netlist Perform fun

Page 46 - Parameterizable types

45NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai89Macro ProductizationNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai90Design f

Page 47 - Why Coding Guideline ?

46NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai91Parameterized IP design Why to parameterize IP ? Provide flexibility in interface and

Page 48

47NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai93Outline SoC Design Methodology RTL Coding Guidelines SoC Integration Design Verifica

Page 49 - Contents

48NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai95Principles Readability Simplicity Locality Portability Reusability Reconfigurabili

Page 50

49NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai97File Header Should be included for all source files Contents author information revi

Page 51 -  GTECH or DesignWare

5NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai9cefdgExample: Telecommunications ICSemiconductor Industry De-integrationUMC, JapanUMC, Tai

Page 52 - Synchronicity

50NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai99Comments and Formats Appropriate comments process (always block), function,… Comment

Page 53 - Combinational Blocks

51NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai101Coding Practices Little-endian for multi-bit bus [31:0] instead [0:31] Operand sizes

Page 54 - Module Tedium?

52NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai103Clocks and Resets Simple clocking is easier to understand, analyze, and maintain Avoi

Page 55 -  Less time typing

53NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai105Combinational Blocks Combinational block use blocking assignments minimize signals r

Page 56 - Idea… Use comments!

54NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai107Verilog-ModeNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai108Module Tedium?

Page 57 - Argument Lists

55NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai109Why is this information redundant? The argument list is a duplication of the input/out

Page 58 - Automatic Registers

56NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai111 Don’t want a new language All tools would need a upgrade! (Verilog 2000 unfortunate

Page 59 - State Machines

57NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai113Sensitivity ListsNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai114Argument

Page 60 - Verifiable RTL

58NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai115Automatic WiresNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai116Automatic R

Page 61 - If-then-else

59NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai117Simple InstantiationsNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai118State

Page 62 - Coding for FSM

6NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai11Accelerating Technology and ComplexityNCUEE -- Multimedia Communication IP DesignTsung-H

Page 63 - Partitioning

60NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai119Making upper level modules Building null or shell modules You want a module with same

Page 64 - Partitioning (cont.)

61NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai121Verifiable RTL (cont.)NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai122Codi

Page 65 -  ex: floor plan

62NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai123Coding for Synthesis (cont.)conditional assignment infers a mux with slower simulatio

Page 66 - Integration Problems

63NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai125Coding for DfT Avoid tri-state buses bus contention, bus floating using MUX will be

Page 67

64NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai127Partitioning (cont.) Avoid timing exception point-to-point, false path, multi-cycle p

Page 68 - Concept of Virtual Socket

65NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai129Outline SoC Design Methodology RTL Coding Guidelines SoC Integration Design Verific

Page 69 - AMBA 2.0 specifies

66NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai131IP Selection Good documentation Documents, scripts, ….. Comprehensive verification e

Page 70 -  Peripheral VCI (PVCI)

67NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai133Integrated IP Example (IP Provider)• The IP provider then uses VERA CORE to turn the VE

Page 71 - VCI bus hierarchy

68NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai135VC Interface An universal bus standard is the ultimate goal Different power, performa

Page 72 - Test structure

69NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai137Interface designs Provide communication link with other IPs Too simplified interface

Page 73 - Integrating Memory

7NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai13Silicon Process RoadmapsNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai14Techn

Page 74 - Behavioral Models

70NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai139ARM OCB-AMBA A typical AMBA systemNCUEE -- Multimedia Communication IP DesignTsung-Ha

Page 75 - Bus Functional Model

71NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai141VCI usage with a bus Used as an interface to a wrapper1. OCB suppliers provide VCI wra

Page 76 - Synthesis Timing Model

72NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai143VCI (OCB) bus hierarchy Multiple buses within a system Organized by bandwidthNCUEE -

Page 77 - System Integration Guidelines

73NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai145Integrating Memory Memory block is usually obtained from the memory compilersSynchron

Page 78 - Clocking

74NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai147Functional Models Functional models of different abstractions Tradeoffs between accur

Page 79 - Clocking (cont.)

75NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai149Behavioral and ISA ModelsNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai150B

Page 80 - Tri-state bus

76NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai151Full Functional ModelNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai152Synth

Page 81 - Reduce switching activity

77NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai153System Integration Guidelines System-level guidelines For producing well-designed IPs

Page 82 - Clock gating

78NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai155Synchronous vs. Asynchronous Avoid asynchronous and multi-cycle paths Register-based

Page 83

79NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai157Clocking (cont.) Rule- Number of clock domains and clock frequencies must be document

Page 84 - Two alternatives

8NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai15Low Cost A transistor cost $30 in 1960 8080 (5,000 tx) cost $150 in 1974 3 cents/tx P

Page 85 -  buffering the bus clock

80NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai159Reset (cont.) Rule The basic reset strategy for the chip must be documented. It is pa

Page 86 - Tri-state bus is not good

81NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai161System Interconnection (2/2) Multiplexer is better than Tri-state buffer for SoC desig

Page 87 - Design for Test (cont.)

82NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai163Low Power Issue for Memories Memory Low-power memory design  Memory = address decode

Page 88

83NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai165Low Power Issue for Memories (cont.) Trade fault converge for power consumptionNCUEE

Page 89 - SoC Verification

84NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai167Subblock InterfaceSubblock 1 Subblock 2Macro A•Registering the output of subblock is su

Page 90 -  System integration

85NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai169On-Chip Bus (OCB) (cont.) A lower-speed bus is used to connect all of the modules To

Page 91 -  In-house development

86NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai171Clock Distribution•Rule-The design team must decide on the basic clock distribution arc

Page 92 - Tool flow

87NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai173Design for TestSystem-level testplan must be developed in the initial design stage Te

Page 93 - VCX (cont.)

88NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai175Design For Timing Closure : Physical Design IssueThe key to achieving rapid timing clos

Page 94 - VCX Homepage

89NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai177SoC Verification Verification takes 60% - 80% of the design time Key to success Qual

Page 95 - IP Catalyst Programs

9NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai17Digital RevolutionTechnology Convergence -It’s a convergence world !Mainframe/Minicompute

Page 96 - Conclusions

90NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai179Deliverables for Soft Macros Product files Synthesizable RTL Application notes All

Page 97 - Platform-Based SOC

91NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai181Outline SoC Design Methodology RTL Coding Guidelines SoC Integration Design Verific

Page 98 - Benefits for Platform Based

92NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai183Key Steps Develop the reuse methodology Employee training Tool flow Demonstrate the

Page 99 - Prototype vs. Platform

93NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai185VCX (Virtual Component Exchange) The VCX, an industry-backed initiative, is dedicated

Page 100 - Platform-Based Integration

94NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai187VCX HomepageNCUEE -- Multimedia Communication IP DesignTsung-Han Tsai188Virtual Socket

Page 101 - Ingredients of Platform

95NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai189OpenMORE Open Measure of Reuse Excellence (OpenMORE) Based on Reuse Methodology Manua

Page 102 - Platform-Based Design

96NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai191Some References Books and Reports− “Reuse Methodology Manual for System-On-A-Chip Desi

Page 103 - Evaluation

97NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai193Platform-Based SOC Design Embedded Processor for SOC Embedded DSP for SOC SOPC - FP

Page 104 - Verification

98NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai195From IP to Platform SOC design increasingly based on pre-designed components (IP reuse

Page 105 - Platform design

99NCUEE -- Multimedia Communication IP DesignTsung-Han Tsai197What is Platform-Based Design A Pre-designed and Pre-verified application architecture

Comments to this Manuals

No comments